产品展示

PRODUCT

CONTACTA US
  • 上海朔光科教设备有限公司
  • 手机:15901901049
  • 传真:021-56355661
  • 电话:021-56355661
  • 地 址:上海市青浦区崧文南路60弄13号
当前位置: 首页 > 新闻资讯 > 行业新闻

正负脉宽数控调制信号发生的原理

时间:2024-05-29 10:14:56

一、    实验目的
    1、 在掌握可控脉冲发生器的基础上了解正负脉宽数控调制信号发生的原理。
    2、 熟练的运用示波器观察实验箱上的探测点波形。
    3、 掌握时序电路设计的基本思想。
    二、   实验原理
    首先详细说明一下正负脉宽数控的原理。所以正负脉宽数控就是直接直接输入脉冲信号的正脉宽数和负脉宽数,当然,正负脉宽数一旦定下来,脉冲波的周期也就确定下来了。其次是调制信号,调制信号有很多种,有频率调制、相位调制、幅度调制等等,本实验中仅对输出的波形进行最简单的数字调制,另外为了EDA设计的灵活性,实验中要求可以输出非调制波形、正脉冲调制和负脉冲调制。非调制波形就是原始的脉冲波形;正脉冲调制就是在脉冲波输出‘1’的期间用输出另一个频率的方波,而在脉冲波为‘0’器件还是原始波形;负脉冲调制正好与正脉冲调制相反,要求在脉冲波输出为‘0’期间输出另外一个频率的方波,而在‘1’期间则输出原始波形。为了简化实验,此处的调制波形(另外一个频率的方波)就用原始的时钟信号。其具体的波形如下图4-11-1所示:
    图4-11-1   调制波形
    三、    实验内容
    本实验的任务是设计一个正负脉宽数控调制信发生器。要求能够输出正负脉宽数控的脉冲波、正脉冲调制的脉冲波和负脉冲调制的脉冲波形。实验中的时钟信号选择时钟模块的1.5MHz信号,用拨挡开关模块的K1——K4作为正脉冲脉宽的输入,用K5——K8作为负脉冲脉宽的输入,用按键开关模块中的BT1作为模式选择键,每按下一次,输出的脉冲波形改变一次,依次为原始脉冲波、正脉冲调制波和负脉冲调制波形。波形输出直接从FPGA的IO端口输出用示波器观察输出波形的改变。
    四、    实验步骤
    1、 打开QUARTUSII软件,新建一个工程。
    2、 建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。
    3、 按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。
    4、 编写完VHDL程序后,保存起来。方法同实验一。
    5、 对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。
    6、 编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再  进  行全编译一次,以使管脚分配生效。
    7、 根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起来。
    如果是调用的本书提供的VHDL代码,则实验连线如下:
    CLK:FPGA时钟信号,接数字时钟信号CLOCK1,并将时钟设为750KHZ。
    MODE:信号模式选择,接一个按键开关BT1。
    N[3..0]: 负脉冲控制信号,接四个拨动开关K4、K3、K2、K1。
    P[3..0]:正脉冲控制信号,按四个拨动开关K8、K7、K6、K5。
    FOUT:信号输入,按FPGA的一个IO端口。
    8、 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。
    五、    实验结果及现象
    以设计的参考示例为例,当设计文件加载到目标器件后,确认信号连接线已正确连接,拨动八位拨动开关,使K1-K4中至少有一个为高电平,K5-K8至少有一个为高电平,此时从信号输出端口用示波器可以观测到一个矩形波,其高低电平的占空比为K1-K4高电平的个数与K5-K8高电平个数的比。按下BT1按键后,矩形波发生改变,输出如图4-11-1所示的调制波形.
    六、    实验报告
    1、    绘出仿真波形,并作说明。
    2、    将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。
上海朔光科教设备有限公司专业从事教学设备,教学仪器,化工原理实验装置,流体力实验装置,热工实训装置,环境工程实验装置,PLC控制液压气动实验室设备,电工电子实验室设备的综合性企业。销售热线:021-56355661, 联系手机:15901901049